[Summary] セクション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

このセクションには、タイミング例外およびクロック グループ制約がすべてまとめられます。制約タイプ、有効な制約数、無視された制約、無視されたオブジェクト数、および範囲に含まれるセットアップおよびホールド終点数別にレポートされます。この表には、report_exceptions をコマンド ラインから実行 (report_exceptions -summary) するよりも多くの情報が含まれます。

例外タイプごとに詳細な情報を取得するには、サマリの表に含まれる [Exceptions] または [Ignored Objects] セクションへのリンクをクリックします。[Valid Constraints] および [Ignored Constraints] は、同じ [Exceptions] の詳細な表にリンクされます。

注記: 例外は、-from -through、なたは -to を接続する物理的なパスがない場合、または制約が別の制約に上書きされる場合、例外は無視されると考えられます。
図 1. [Report Exceptions] ダイアログ ボックス: [Summary] セクション