インテリジェント デザイン run - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

インテリジェント デザイン run (IDR) は、複雑なフローを使用してタイミング クロージャを達成する特殊なインプリメンテーション run です。IDR では最適化がより積極的に実行されるため、コンパイル時間が標準 run の約 3.5 倍になる可能性があります。

IDR は、複雑なタイミング クロージャ機能をシンプルなユーザー インターフェイスで実現し、高い割合で、FPGA エキスパートと同等の結果を得ることができます。