ストラテジ推奨項目のプロジェクトへの適用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

プロジェクト モードでの推奨項目を生成して適用する手順は、次のとおりです。

  1. ストラテジ (およびオプションでその他の QoR 推奨項目) を含む QoR 推奨項目ファイルを生成します。
  2. 新しいインプリメンテーション run を作成し、QoR 推奨項目ファイルを読み込んで、RQS 指示子を設定します。

IDE では、手順 1 を達成するさまざまな方法があります。これらの方法は、使用するフローおよび RQS ファイルに追加する QoR 推奨項目によって異なります。次の表に、各機能の説明とストラテジ以外の QoR 推奨項目への影響を示します。

表 1. ML ストラテジの生成方法
フロー RQS ファイルの作成 その他の QoR 推奨項目
標準インプリメンテーション run Design Runs ウィンドウを右クリック。

APPLIED 推奨項目はデフォルトで追加。

新しく生成された AUTO 推奨項目はオプションで追加。

自動 RQS イネーブル 自動。

APPLIED 推奨項目はデフォルトで追加。

新しい AUTO 推奨項目はデフォルトで追加。

開いているデザインからの QoR 推奨項目レポート 推奨項目の生成中に、選択した推奨項目を自動的に含むストラテジを記述。 ユーザーが個別に選択可能。
インテリジェント デザイン run 自動

段階 1 IDR からの APPLIED 推奨項目を含む。

段階 2 の実行と同等。

配線後の Tcl フックで QoR 推奨項目をレポート 手動

ユーザーが個別に選択可能。-strategy_dir ./MLStrategy を使用。

これらの各オプションから、手順 2 で run を作成して設定する 1 つの方法が得られます。これらの各項目で、run ディレクトリに MLStrategy ディレクトリが作成されます。3 つの RQS ファイルが含まれます。これらのファイルがある場合、Design Runs の右クリック メニューで create_rqs_runs オプションが有効になります。

このオプションを実行すると、3 つの新しいインプリメンテーション実行が自動的に作成され、それらが基準 run にリンクされます。1 つの基準に使用可能な ML ストラテジは 3 つのみです。これらを再作成する場合は、削除してから再実行してください。デザインの変更がマイナーな場合は、ストラテジの再生成は通常不要です。

インプリメンテーション run impl_1 から新しい ML ストラテジを作成する同等の Tcl コマンドは、次のとおりです。

create_rqs_runs -reference_run [get_runs impl_1]