タイミング例外の適用範囲のレポート - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

Vivado ツールでは、デザインに適用された有効な各タイミング例外の詳細な適用範囲を生成できます。完全に無効になっているもの、始点と終点の間にパスがないものを含め、すべてのタイミング例外がレポートされます。

例外範囲レポートは、-coverage コマンド ライン オプションを使用すると生成されます。

report_exceptions -coverage

レポートには、有効な各タイミング例外に対して、次の情報が含まれます。

  • 制約の位置番号。
  • -from-through-to コマンド ライン オプションで選択されたオブジェクトの数。
  • タイミング例外で達成されるピン数と -from/-through/-to コマンド ライン オプションで指定されるピン数間の範囲 (%)。
    注記: セル オブジェクトが指定されると、Vivado でそのセルが有効なピン オブジェクトに展開されます。通常タイミング例外はピンのサブセットにしか到達しないので、このセルからピンへの変換により範囲が狭まることがあります。

次の図は、例外範囲レポートを示しています。

図 1. 例外範囲レポート

タイミング例外の始点と終点の間にパスがない場合は、適用範囲レポートには 0.0 と表示されます。上記の例の場合、タイミング例外位置 15 にはタイミング パスがありません。これは、制約位置 15 が存在しないパス (Non-Existent Path) としてレポートされる report_exceptions -ignored の結果と同じです。

範囲レポートを使用すると、効率的なタイミング例外が記述しやすくなります。次の図は、次の set_multicycle_path 制約の適用範囲レポートの別の例を示しています。

set_multicycle_path -setup 2 -from [all_registers] -to [get_cells 
cpuEngine/or1200_cpu/or1200_ctrl/ex_insn_reg[*]]
図 2. マルチサイクル パスの範囲

前の図の例では、-from オプションの範囲が all_registers で戻される 15901 個のセル オブジェクトの 0.95% だけであることを示しています。制約の効率は、-from に指定されたオブジェクトのリストを cpuEngine/or1200_cpu/or1200_ctrl/ex_insn_reg[*] セルまでのパスを持つオブジェクトにのみ制限すると、改善できます。