プロジェクト モード - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

プロジェクト モードでは、推奨項目が RQS ファイルに記述された後、ファイルが自動的にプロジェクトのユーティリティ ソース ファイルセット (utils_1) に追加されます。このファイルは、run ディレクトリ以外の場所に保存してください。run ディレクトリは run がリセットされると削除されます。複数の run にそれぞれ独自の推奨項目ファイルを使用できるようにするため、各 run に固有のディレクトリに配置する必要があります。推奨される場所は <project_dir>/<project>.srcs/utils_1/<run_name> です。

プロジェクトを開いているときに、Design Runs ウィンドウで run を右クリックし、Set QoR Suggestions をクリックします。これを合成 run およびインプリメンテーション run の両方に追加する必要がある場合があります。

図 1. Set QoR Suggestions ウィンドウ

Enable Suggestions をオンにします。これにより、推奨項目ファイル、自動 run、またはその組み合わせを選択できます。選択した推奨項目ファイルが追加されていない場合、utils_1 ファイルセットに追加されます。

次に、このフローと同等の Tcl コマンドを示します。

write_qor_suggestions -of_objects [get_qor_suggestions \
{<NAME_1> <NAME_2>}] -file <fn.rqs>
add_files -fileset utils_1 <fn>.rqs
set_property RQS_FILES <fn>.rqs [get_runs <run name>]