マトリックス セルの色分け - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

マトリックスのセルは、色分け表示されます。セルの色は、Tools > Settings > Colors > Clock Interaction Chart をクリックするか、Clock Interactions タブの設定 (歯車のマーク) ボタンをクリックし、そこで指定した背景色になります。詳細は、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893)このセクションを参照してください。色の凡例を非表示にするには、マトリックス左側のツールバーの ? をクリックします。

No Path (黒)
ソース クロックからデスティネーション クロックにまたがるタイミング パスが存在しないことを示します。クロックに関連性はなく、何もレポートされません。
Timed (緑)
ソース クロックとデスティネーション クロックに同期関係があり、タイミング解析が安全に実行されることを示します。2 つのクロックのプライマリ クロックが共通しており、クロック周期が単純な比である場合にこのステートになります。
User Ignored Paths (濃い青)
ソース クロックからデスティネーション クロックにまたがるパスすべてに、ユーザー定義のフォルス パスまたはクロック グループ制約が適用されていることを示します。ホールド解析用のみにインタラクション レポートを実行し (-delay_type min)、ソース クロックおよびデスティネーション クロックが set_max_delay -datapath_only 制約でカバーされている場合、最大遅延のデータパスのみから派生するフォルス パスが原因で、Clock Pair Classificationignored (GUI のカテゴリは User Ignored Paths) として、Inter-Clock Constraints Auto Generated False Path としてレポートされます。
Partial False Path (水色)
ソース クロックからデスティネーション クロックにまたがるパスの一部にユーザー定義の false_path が適用されており、ソース クロックとデスティネーション クロックに同期関係があることを示します。
Timed (Unsafe) (赤)
ソース クロックとデスティネーション クロックが非同期であることを示します。プライマリ クロックが共通でないか、共通周期がありません (周期の公倍数を見つけることができない)。非同期クロックおよび共通周期なしのクロックの詳細は、 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903)このセクションを参照してください。
Partial False Path (Unsafe) (オレンジ色)
Timed (Unsafe) と同じですが、ソース クロックからデスティネーション クロックへの少なくとも 1 つのパスがフォルス パス例外のため無視されていることを示します。
Max Delay Datapath Only (グレー)
ソース クロックからデスティネーション クロックにまたがるパスすべてに、set_max_delay -datapath_only 制約が適用されていることを示します。
重要: マトリックスのセルの色は、クロック ドメイン間の制約の状態を表しており、クロック ドメイン間のタイミング パスのワースト スラックの状態を表すものではありません。緑色のセルはタイミングが満たされていることを示しているのではなく、クロック ドメイン間のタイミング パスで適切にタイミング解析が実行され、クロック間に既知の位相関係があることを示します。