例 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

次の例では、2 つの MMCM 出力を使用してクロックを生成しています。BUFGCE_DIV は、マスター クロック CLKOUT0 が供給され、分周クロック clk1 を出力します。CLKOUT1 はクロック clk2 を生成します。set_clock_groups -asynchronous -group [get_clocks clk1] -group [get_clocks clk2] などの制約を追加すると、TIMING-47 警告が表示されます。

図 1. 同期クロック間のフォルス パス、非同期クロック グループ、または set_max_delay -datapath_only 制約

clk1clk2 は同じ MMCM からのクロックなので、同期と見なされます。これらのドメインをまたがるデータも、同期 CDC であると見なされます。そのため、set_clock_groups -asynchronous を追加する必要はありません。