例外レポート - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

例外レポートは、合成後のフローのどの段階でも生成できます。例外レポートには、次の情報が含まれます。

  • デザインで設定されており、タイミング解析に影響するタイミング例外すべて
  • デザインで設定されているが、ほかのタイミング例外が優先されるために無視されるタイミング例外すべて

例外レポートの生成時に解析されるタイミング例外は、次のとおりです (優先順)。

  • クロック グループ
  • フォルス パス
  • 最大/最小遅延
  • マルチサイクル パス

例外レポートは、タイミング例外に関する問題をデバッグするのに役立ちます。デザインによっては、複雑なタイミング例外を使用したタイミング制約を含むものがあります。タイミング例外の優先度は異なるので、どのタイミング例外がほかの例外のために一部または完全に無視されるのかを理解するのは困難です。例外レポートには、一部が無視されるタイミング例外および完全に無視されるタイミング例外がレポートされます。また、優先される制約に関するヒントも表示されます。

report_exceptions のコマンド ライン オプションの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835)このセクションを参照してください。タイミング例外の優先順位に関する詳細は、 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903)このセクションを参照してください。

report_exceptions コマンドには、次の複数の操作モードがあります。

  • タイミング解析に影響するタイミング例外のレポート
  • 無視されるタイミング例外のレポート
  • タイミング例外の適用範囲のレポート
  • -from-through-to コマンド ライン オプションに指定された無効なオブジェクトをレポート
  • 有効なオブジェクトのみを含むタイミング例外を記述
  • タイミング エンジンでマージされたタイミング例外を記述
    注記: クロック グループには厳密にタイミング例外を付けられませんが、report_exceptions コマンドを使用するとほかのタイミング例外より優先させることができます。
    注記: report_exceptions コマンドで -from/-through/-to オプションを使用すると、同じ -from/-through/-to コマンド ライン オプションを使用して定義されたタイミング例外のみレポートされます。指定したパターンは異なるものにできますが、例外としてレポートされるようにするには、-from/-through/-to のそれぞれの中で少なくとも 1 つのオブジェクト (セル、ネット、ピン、またはポート) が同じである必要があります。