推奨項目の自動生成と適用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

自動 QoR 推奨項目フローは、プロジェクト ベース run に QoR 推奨項目を生成して適用します。これは、変更中のデザインに QoR 推奨項目を生成して適用するのに最も簡単な方法です。自動 QoR 推奨項目をイネーブルにすると、標準インプリメンテーション フローは次の図に示すように変更されます。

図 1. 自動 QoR 推奨項目を使用したインプリメンテーション フロー

初期 run は、ユーザーが選択した RQS ファイルを設定して実行するか、RQS ファイルなしで実行することもできます。ユーザー RQS ファイルを選択した場合、新しい推奨項目が生成されるまで保持されます。ファイル内のすべての推奨項目が <top>_routed.rqs ファイルに書き込まれるので、元のファイルを保持する必要はありません。

report_qor_suggestions コマンドは、opt_designplace_design の後、およびコマンドがイネーブルであれば route_design または配線後の phys_opt_design のいずれかの後に実行されます。

ほとんどの推奨項目は、後続のインプリメンテーション run で適用できるようにインプリメンテーション run の最後で RQS ファイルに書き込まれます。RQS ファイルに書き込まれる推奨項目は次のとおりです。

  • AUTO_RQS_FLOW==1 プロパティが設定されている新しい推奨項目
  • APPLIED==1 プロパティが設定されている以前の推奨項目

RQS_CLOCK-1 の推奨項目もあり、これは opt_design で生成され、同じ run で適用されます。

推奨項目は、実行されるコマンドについての Reports タブの report_qor_suggestions レポートで確認できます。

run がリセットされると、推奨項目がインプリメンテーション run ディレクトリから別の場所にコピーされ、utils_1 ファイルセットに追加されます。この場所は、デフォルトではソース ディレクトリ内ですが、ほかの場所に変更できます。

推奨項目は、親合成 run がある場合はそれにも適用できます。1 つの子インプリメンテーション run からのみ合成推奨項目も供給できます。複数の子インプリメンテーション run is を選択すると、最新のものが使用されます。

ファースト クラスの run オブジェクトには、次のプロパティが使用されます。

表 1. 自動 QoR 推奨項目フローの run プロパティ
プロパティ 説明
RQS_FILES RQS ファイル名 ユーザー RQS ファイルを指定します。
AUTO_RQS
1
オン
0
オフ
自動 RQS フローをイネーブルにします。
AUTO_RQS.DIRECTORY <directory> RQS ファイルをにコピーする代替ディレクトリを指定します。
AUTO_RQS.SUGGESTION_RUN RQS ファイルを生成するインプリメンテーション run 名 合成親 run に適用されます。

次に、Tcl でこれらのプロパティを使用する例を示します。

set_property AUTO_RQS 1 [get_runs impl_1]
set_property RQS_FILES C:/temp/test.rqs [get_runs impl_1]
set_property AUTO_RQS.DIRECTORY C:/project_name/sources/rqs/impl_1 [get_runs impl_1]
set_property AUTO_RQS.SUGGESTION_RUN impl_1 [get_runs synth_1]