推奨項目オブジェクト ファイルの書き出し - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

QoR 推奨項目レポートが生成されたら、推奨項目 run に使用可能な推奨項目を含む RQS (.rqs) ファイルを生成する必要があります。これには、run に含める推奨項目を選択し、QoR 推奨項目ファイルに書き出します。これを次の図に示します。

図 1. 推奨項目の選択と書き出し

次の図に、推奨項目を書き込むときに表示されるダイアログ ボックスを示します。

図 2. Write Suggestions to Project ダイアログ ボックス

同等の Tcl コマンドは次のとおりです。

write_qor_suggestions filename.rqs
一部の推奨項目では、DONT_TOUCH プロパティを無効にすることを確認して承認する必要があります。同等の Tcl オプションは次のとおりです。
-disable_dont_touch

ストラテジ推奨項目の処理は、標準の推奨項目とは異なります。ML ストラテジを記述すると、複数のストラテジ RQS ファイルを作成できます。詳細は、ストラテジ推奨項目 を参照してください。同等の Tcl オプションは次のとおりです。

-strategy_dir <directory>