有効な例外のエクスポート - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

[Report Exception] コマンドでは、タイミング例外のリストがエクスポートできます。少なくとも 1 つのパスに適用されている制約のみがエクスポートされます。エクスポートされるのは有効な始点と終点ピンのみで、タイミング例外を指定するのに使用されたパターンは Vivado Design Suite の Timer メモリ内で展開されます。このレポートを適用範囲レポートと一緒に使用すると、タイミング例外を定義するのに使用したオブジェクトのパターンおよびコレクションを改善できます。

注記: タイミング制約の set_clock_group および set_bus_skew はエクスポートされません。

次の図は、無視されたオブジェクトのレポート セクションで説明される 2 つのフォルス パスに対して report_exceptions -write_valid_exceptions を実行した結果を示しています。

図 1. 有効な例外