自動 QoR 推奨項目および ML ストラテジ - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 日本語

実行を開始する前に ML ストラテジが存在する場合は、RQS_FILES プロパティを使用して RQS ファイルを設定できます。フローの最後に、新しい RQS ファイルにストラテジ推奨項目が追加されます。

ML ストラテジが存在しない場合は、推奨項目の生成プロセス中に <run_name>/MLStrategy ディレクトリに自動生成されます。