XDC コマンドを使用したデバッグ コアの挿入 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 日本語

Set up Debug ウィザードの使用に加え、XDC コマンドを使用使用してもデバッグ コアを作成、接続し、合成済みデザイン ネットリストに挿入できます。次の手順に従って、[Tcl Console] ウィンドウに XDC コマンドを入力します。

  1. synth_1 という合成 run から合成済みネットリストを開きます。
    open_run synth_1
    重要: 次の手順の XDC コマンドは、合成済みネットリストが開いている場合にのみ使用できます。
  2. ILA コアのブラック ボックスを作成します。
    create_debug_core u_ila_0 ila
  3. ILA コアのプロパティを設定します。
    set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0]
    set_property C_TRIGIN_EN false [get_debug_cores u_ila_0]
    set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0]
    set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0]
    set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0]
    set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0]
    set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0]
    set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0]
  4. ILA コアの clk ポートの幅を 1 に設定し、適切なクロック ネットに接続します。
    set_property port_width 1 [get_debug_ports u_ila_0/clk]
    connect_debug_port u_ila_0/clk [get_nets [list clk ]]
    注記: ILA コアの clk ポートは、create_debug_core コマンドで自動的に作成されるので、ユーザーが作成する必要はありません。
    重要: デバッグ コアのデバッグ ポート名はすべて小文字です。大文字や小文字と大文字の混ざったポート名を使用すると、エラーになります。
  5. probe0 ポートの幅を、そのポートに接続するネットの数に設定します。
    注記: ILA コアの最初のプローブ ポート (probe0) は、create_debug_core コマンドで自動的に作成されるので、ユーザーが作成する必要はありません。
  6. probe0 ポートを、そのポートに接続するネットに接続します。
    connect_debug_port u_ila_0/probe0 [get_nets [list A_or_B]]
  7. (オプション) 必要なだけプローブ ポートを作成し、幅を設定して、デバッグするネットに接続します。
    create_debug_port u_ila_0 probe
    set_property port_width 2 [get_debug_ports u_ila_0/probe1]
    connect_debug_port u_ila_0/probe1 [get_nets [list {A[0]} {A[1]}]]

これらのコマンドおよびその他の関連コマンドの詳細は、Vivado Design Suite の [Tcl Console] ウィンドウに「help -category ChipScope」と入力してください。