プロジェクト モードでのインクリメンタル コンパイルの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 日本語

プロジェクト モードでは、Design Runs ウィンドウでインクリメンタル コンパイル オプションを設定できます。

インクリメンタル コンパイル モードを設定するには、次の手順に従います。

  1. [Design Runs] ウィンドウで run を 1 つ選択します。
  2. Set Incremental Compile をクリックします。
  3. [Set Incremental Compile] ダイアログ ボックスで、基準デザイン チェックポイントを選択します。run でインクリメンタル コンパイル モードがイネーブルになります。
  4. 合成済みネットリストを開き、オプションでデバッグ コアを追加または RTL にインスタンシエートされたデバッグ コアを変更します。
  5. Set Up Debug ウィザードを使用して、デバッグ コアを挿入するか、デザインに挿入されているデバッグ コアを削除または変更します。
  6. デザインをインプリメントします。
    重要: デザインのデバッグ コアを変更するには、合成済みデザインを開く必要があります。配線後のデザインにデバッグ コアを挿入することはできません。

詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) のインクリメンタル コンパイル機能を参照してください。