SLR 境界をまたいだスタティック配線 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2023-11-15
Version
2023.2 日本語

SSI テクノロジの Versal デバイスでは、SLR をまたがる SLL ノードは CLE タイルを通って出ます。スタティック ネットまたは境界ネットのスタティック部分 (つまり、PPLOC とスタティック領域の間のネット部分) の配線は、リコンフィギャラブル パーティション (RP) 内の SLR 境界をまたいだ後、スライス ルート スルーを使用できません。RP の Pblock が SLR の境界にまたがっているか、隣接している場合、その RP 内のリコンフィギャラブル モジュール (RM) 内のルートだけが、その領域をまたぐことができます。NoC ではない配線が SLR 境界を通過する必要がある場合は、RP Pblock の片側または両側にスペースを残し、route_design がソリューションを見つけられるようにします。

次の図に、2 つの RP を持つ、SLR が 2 つある VP1502 デバイスを示します。RP0 と RP1 の Pblock は SLR の境界に合わせ (赤で表示)、スタティック ルートと境界ネットのスタティック部分が SLR の境界を問題なくまたぐことができるよう、両側に十分なスペースを確保しています (緑で表示)。DFX 拡張配線機能は、より多くのリソースを取得するために RP0 の左右へと拡張しますが、拡張領域の SLL は使用せず、これらのリソースはスタティック デザイン用に残されます。SLR0<--SLR1 のスタティック ネットの配線が赤くハイライトされているのは、RP0 内の CLE が SLL ノードから出る必要があるためで、この配線は使用できません。SLR0-->SLR1 のスタティック ネットが緑でハイライトされているのは、スタティック領域の CLE リソースが SLR1 で SLL ノードから使用できるからです。

図 1. 2 つのリコンフィギャラブル パーティションを持つ、SLR が 2 つある VP1502 デバイス

SLR 境界をまたぐのに使用可能な SLL ノードが不十分な場合、route_design で SLL 割り当てエラーが発生します。route_design の SLL 割り当てフェーズでは、SLL 容量レポートが提供され、エラーのデバッグに使用できます。このレポートは、次の表に分けられています。

SLL 容量レポート (DFX)
この表に記載されている情報は DFX フロアプランに基づいており、スタティック配線と各 RP 配線の SLR ペアごとの使用可能な SLL 数を示しています。
ネット デマンド レポート (DFX)
この表に記載されている情報は、DFX デザインの配置に基づいて計算されたもので、SLL ノードを必要とする内部ネットと境界ネットを含むスタティック ネットと RP ネットを示しています。

各 SLR 境界ごとに、クロッシング容量とネット クロッシング数が、方向 (北および南) ごとに記述され、両方向がまとめられます。

ヒント: 配置したデザインで Tcl コマンド get_nets -hierarchical -top_net_of_hierarchical_group -filter { CROSSING_SLRS =~ "*SLR*" } を使用すると、デザイン内のすべての SLR クロッシング ネットを取得できます。

次の図は、SLR1-->SLR0 から SLR クロッシングを必要とする pblock_rp2rm1 (青い領域) からの SLR 境界とハイライトされた境界ネットを完全にカバーする 2 つの RP のフロアプランの例を示しています。

図 2. 2 つのリコンフィギャラブル パーティションのフロアプラン例

この例では、デザインが route_design でエラーになり、ログ ファイルに次のようにレポートされます。

図 3. 2 つのリコンフィギャラブル パーティションのフロアプラン例のログ ファイル

SLL 容量レポート (DFX) には、ハイライトされたセクションがスタティック ネットで使用可能な SLL ノードの数が 0 であることを示しています。DFX フロアプランに基づくと、RP の一部である CLE を経由する配線は不可能です。同様に、pblock_rp1rm1 (黄色の領域) は、0->1 (SLR0 から SLR1) を配線する SLL ノードが 0 であり、pblock_rp2rm (青色の領域) は、0<-1 (SLR1 から SLR0) を配線する SLL ノードが 0 です。

ネット デマンド レポート (DFX) では、pblock_rp2rm1 (青色領域) は 0<-1 (SLR1 から SLR0) への配線に 1 つの境界ネットを必要とします。SLR 境界をまたぐネットは、pblock_rp1rm1 (黄色の領域) の CLE を経由する必要があるため、これは禁止されています。

pblock_rp1rm1 をまたぐ唯一の方法は、デバイスのエッジにスペースを空けて、境界ネットが CLE を通過できるようにすることです。これはデザインに依存する決定です。

次は、スタティック ネットと境界ネットが SLR をまたぐスペースを残した配線済みデザインの例です。

図 4. SLR をまたぐスタティック ネットと境界ネットを含む配線済みデザイン