インプリメンテーション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2023-11-15
Version
2023.2 日本語

すべての RM を少なくとも 1 回インプリメントするのに必要な数のコンフィギュレーションを作成します。最初のコンフィギュレーションで、最上位と最初の RM の合成結果を読み込みます。モジュールをリコンフィギャラブルとマークし、インプリメンテーションを実行します。完全な配線済みコンフィギュレーションのチェックポイントを保存し、RM を必要に応じて再利用できるように RM のチェックポイントを保存します。最後に、デザインから RM を削除し (update_design -cell -black_box)、スタティック デザインのみのチェックポイントを保存します。

コンフィギュレーション 1:

open_checkpoint top_synth.dcp
read_xdc top_impl.xdc
set_property HD.RECONFIGURABLE true [get_cells rp1]
read_checkpoint -cell rp1 rp1_a_synth.dcp
opt_design
place_design
route_design
write_checkpoint config1_routed.dcp
write_checkpoint -cell rp1 rp1_a_route_design.dcp
update_design -cell rp1 -black_box
lock_design -level routing
write_checkpoint static_routed.dcp

2 番目のコンフィギュレーションでは、RM がブラック ボックスとして含まれているスタティック部分の配置配線済みチェックポイントを読み込みます (閉じている場合)。その後 2 番目の RM の合成結果を読み込み、デザインをインプリメントします。最後に、RM の 2 番目のバージョンのインプリメンテーション チェックポイントを保存します。

コンフィギュレーション 2:

open_checkpoint static_routed.dcp
read_checkpoint -cell rp1 rp1_b_synth.dcp
opt_design
place_design
route_design
write_checkpoint config2_routed.dcp
write_checkpoint -cell rp1 rp1_b_route_design.dcp
ヒント: 各コンフィギュレーションを別のフォルダーに分け、すべての中間チェックポイント、ログおよびレポート ファイル、BIT ファイル、その他のデザイン出力が個別に保存されるようにします。

複数の RM がある場合は、ほかのコンフィギュレーションも必要です。追加のコンフィギュレーションは、以前にインプリメント済みの RM をインポートして、ハードウェアに読み込むフル デザインを作成することにより、作成することも可能です。これは、電源投入用の適切な組み合わせのフル ビットストリームを作成する場合や、スタティック タイミング解析、消費電力解析、シミュレーションを実行する場合に便利です。

各 RM チェックポイントの完全な配置配線結果が保持されるので、新しいコンフィギュレーションは、配線済みチェックポイントのコレクションを読み込むだけで簡単に作成できます。ただし、このフローを使用する場合には制限もあることに注意してください。RM のインプリメンテーションを保存するのに write_checkpoint -cell を使用した場合、このモジュールに対してローカルの制約は保持されません。内部クロック制約、または RM 内で開始または終了する (または開始して終了する) タイミング例外を含む RM の場合、新しいコンフィギュレーションを作成した後、これらの制約をタイミング解析用に適用し直す必要があります。AMD IP またはサードパーティ IP を含む RM は、この制限を示す良いモジュール例です。