フル コンフィギュレーションからのパーシャル ビットストリームの生成 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2023-11-15
Version
2023.2 日本語

ビットストリームは、2 つの方法で生成できます。1 つ目は標準 DFX を使用する方法で、Vivado で完全なデザインを開き、フル ビットストリームとパーシャル ビットストリームの両方を生成します。2 つ目の抽象化シェルを使用する方法では、各 RM はフル スタティック最上位から独立させてインプリメントするので、標準フローのように複数のコンフィギュレーションは作成しません。可能なコンフィギュレーションは、フル スタティック チェックポイントと RP ごとに 1 つの RM チェックポイントをリンクすることにより作成できます。フル コンフィギュレーションをメモリで開き、通常どおり write_bitstream を実行します。これにより、デフォルトでこのデザイン イメージのすべてのフル ビットストリームとパーシャル ビットストリームが生成されます。フル ビットストリームのみを作成する場合は -no_partial_bitfile オプション、パーシャル ビットストリームのを作成する場合は -cell オプションを使用します。