抽象化シェルからのパーシャル ビットストリームの生成 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2023-11-15
Version
2023.2 日本語

RM のパーシャル ビットストリームは、抽象化シェル インプリメンテーションから直接生成することもできます。この方法では、パーシャル ビットストリームの生成にフル スタティック デザイン情報は必要ありません。抽象化シェルには、RM をインプリメントするために必要な情報だけでなく、その機能のビットストリームを作成するために必要な情報も含まれます。write_bitstream-cell オプションは必須です。

write_bitstream -cell <cell_inst> <RM_partial>.bit
注意:
-cell オプションを使用しないと、フル デザイン ビットストリームを作成すると解釈されるので、write_bitstream でエラーとしてフラグされます。この場合、フル デザインは存在しません。同様に、Vivado で RM チェックポイント (write_checkpoint -cell で作成したターゲット セルの上位のスタティック デザインを含まないチェックポイント) のみからパーシャル ビットストリームを生成しようとすると、エラーが返されます。このビットストリームには RM の情報のみが含まれ、それに接続されるスタティック デザインの情報は含まれません。

フル デバイス ビットストリームは、フル スタティック デザイン チェックポイントと、RP ごとに 1 つの RM チェックポイントを含むチェックポイントからのみ作成可能です。RM はグレー ボックス インプリメンテーションでもかまいませんが、完全に配置配線されている必要があります。フルまたは抽象化シェルチェックポイントからのパーシャル ビットストリームは、各抽象化シェルの作成に使用されたスタティック バージョンが同じであれば、スタティックと互換性があります。