抽象化シェルへのリコンフィギャラブル モジュールのインプリメント - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: Dynamic Function eXchange (UG909)

Document ID
UG909
Release Date
2023-11-15
Version
2023.2 日本語

新しいどの RM でも抽象化シェルにインプリメントできます。各 RP は個別に管理されるので、異なる Vivado セッションで各 RM を並列にインプリメントできます。インプリメンテーション フローは、フル スタティック デザイン イメージから開始する標準 DFX フローと同じです。

重要: 抽象化シェルでも元のインプリメントを作成したのと同じ方法を使用します。たとえば、親インプリメンテーションで add_files/link_design (プロジェクト モードで使用) を使用する場合は、抽象化シェルの子インプリメンテーションの実行にも同じ方法を使用します。open_checkpointread_checkpoint -cell を使用して初期デザインを構築した場合は、抽象化シェルのインプリメンテーションにも同じ方法を使用します。

インプリメンテーション ツールのフローは、標準フローと同様に、link_design (または read_checkpoint -cell) から place_design および route_design までの段階があり、Vivado ツールでの処理はインプリメントするターゲット RM に対して実行されます。配置指示子、フロアプラン、タイミング目標などの新しい制約を、ターゲット RM に適用できます。

route_design が完了したら、write_checkpoint を実行し、インプリメントされた RM を含む抽象化シェル全体を保存し、write_checkpoint -cell を実行してインプリメントされた RM のみを保存します。完全なデザインをアセンブルするため、RM チェックポイント (および必要に応じてほかの RP のほかの RM チェックポイント) をフル スタティック デザイン チェックポイントに読み込むことができます。