演習 5: UVM 例の実行 - 2023.2 日本語

Vivado Design Suite チュートリアル: ロジック シミュレーション (UG937)

Document ID
UG937
Release Date
2023-11-01
Version
2023.2 日本語

AMD Vivado™ 統合設計環境では、Vivado シミュレータ (XSim) で UVM (Universal Verification Methodology) がサポートされています。UVM バージョン 1.2 は、事前にコンパイルされており、Vivado に含まれます。

このチュートリアルでは、UVM ベースの例を取り上げ、Vivado シミュレータで実行します。

注記: 演習 1: Vivado IDE でのシミュレータの実行 の開始時にダウンロードされたチュートリアルの ug937-vivado-design-suite-tutorial-design-files/ug937-design-files/uvm ディレクトリに移動します。