手順 1: Vivado IDE の起動 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2023-11-08
Version
2023.2 日本語
この演習では、デザインのスナップショットである Vivado デザイン チェックポイント (.dcp ファイル) を使用します。デザイン チェックポイントを使用して Vivado IDE を起動すると、Vivado IDE 機能のサブセットが利用可能になります。
ヒント: Windows で Vivado Tcl シェルを起動するには、Start > All Programs > Xilinx Design Tools > Vivado <version> > Vivado <version> Tcl Shell をクリックします。
  1. コマンド ラインまたは Vivado Tcl シェルで、演習ファイルが保存されているディレクトリに移動します。
    cd <Extract_Dir>/Lab1
  2. デザイン チェックポイントを読み込んで Vivado IDE を起動するには、次のように入力します。
    vivado my_ip_example_design_placed.dcp
ヒント: ボンディングされていない GT ロケーションに関するクリティカル警告は無視しても問題はありません。