手順 2: [Report QoR Assessment] の実行 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2023-11-08
Version
2023.2 日本語

この手順では、開いたデザインで report_qor_assessment コマンドを実行します。この手順は、synth_designopt_designplace_designphys_opt_designroute_design など、インプリメンテーション プロセスのどの段階でも実行できます。これにより、デザインのタイミング クロージャの可能性を詳しく示す評価スコアと、さらに調査が必要な項目がすばやく解析されて返されます。

  1. デザインが開いた状態で、Reports > Report QoR Assessment... をクリックします。
  2. Report QoR Assessment ダイアログ ボックスで Report Passing Metrics をオンにし、OK をクリックします。

    同等の Tcl コマンドは次のとおりです。
    report_qor_assessment -exclude_methodology_checks -name qor_assessments -max_paths 100 -full_assessment_details
    評価レポートが開き、次の 3 つの主なセクションが表示されます。
    • 評価サマリ
    • 調査が必要な困難なタイミング パス
    • ツール最適化を防ぐ DONT_TOUCH プロパティの付いたオブジェクト
  3. レポートの最初のセクションで RQA Summary を選択します。

    このセクションのスコアは 2 - Implementation may complete. Timing will not meet と記述されています。また、report_qor_suggestions を実行することも推奨されます。RQA コマンドは、推奨項目がいつ生成されるか理解して、それらをチェックするガイダンスを提供します。

  4. Assessment Details をクリックします。

    このセクションでは、評価スコア 2 の原因となった項目がリストされます。Report passing metrics オプションをオンにしたので、「OK」とマークされた項目が表示されます。通常は、REVIEW とマークされた項目のみが表示されます。この例では、REVIEW とマークされた WNS および TNS メトリクスと、ネット/LUT のバジェットを超えるパスが表示されます。

    通常、タイミング パスは最善のシナリオで達成可能な値を表示します。すべてのパスがこれらの値を達成できるわけではありません。ネットおよび LUT のバジェットは、より一般的な値を使用してネットまたは LUT の代替値をチェックするほか、ネットリスト プロファイルまたはリソースのスパース性が低いことが原因で困難であるパスにペナルティを付けます。結果は、この項目下で実行された 2 つのチェックになります。続行する前に、これらのパスをすべて確認してください。

  5. Challenging Timing Paths の下の Net/LUT budget をクリックします。

    画面をスクロールして、レポートされたすべてのパス特性を確認します。次の項目に特に注目してください。

    SuggestionIds
    これらの ID は、トリガーされた場合にこのパスに影響を与える推奨項目に対応します。
    Net Check Slack
    これは、パスがより高いネット遅延値で置換される場合のスラックです。
    LUT Check Slack
    これは、LUT がより高い LUT 遅延値で置換される場合のスラックです。
  6. パスをダブルクリックして、その Timing Path レポートを表示します。F4 を押すと、回路図を表示することもできます。これらの項目はすべて、Vivado のクロスプローブを使用します。レポート内のほかの項目を確認してみてください。
  7. Design Runsウィンドウで impl_1 を選択し、Implementation Run Properties ウィンドウを展開して、Properties タブをクリックします。MIN_RQA_SCORE プロパティを見つけます。

    MIN_RQA_SCORE プロパティが設定されている場合、RQA が実行され、評価スコアの値が指定値よりも小さいと、インプリメンテーション run が終了することがあります。RQA スコアの最小値は 1 であるため、run が終了しないようにするには MIN_RQA_SCORE を 2 またはそれ以上に設定する必要があります。

    MIN_RQA_SCORE の値を変更し、これを 3 に設定してください。

  8. 次に、インプリメンテーション run は QoR 評価レポートを生成する必要があります。

    [Implementation Run Properties] ウィンドウで、Reports タブをクリックします。Report Strategy プルダウン メニューから Timing Closure Report Strategy を選択します。この方法では、run を設定してすることによりレポートを生成しています。この他に、次の方法も利用できます。

    1. カスタム レポート ストラテジを作成する。
    2. report_qor_assessment を Tcl フックに追加する。これを有効にするには、run ディレクトリでレポートを生成する必要があります。
  9. impl_1 run を開始します。
    opt_designが完了すると report_qor_assessment コマンドが実行され、run が終了します。Design Runs ステータス列は、次のように表示されます。

    ログ ファイルには次のメッセージが含まれます。
    
    INFO: [runtcl 7-1] RQA Score (opt_design): 2 (RQA score tolerance: 3)
    ERROR: [runtcl 8-1] Flow terminated - RQA score threshold not met after 'opt_design' step
    フローがチェックに合格すると、次のメッセージが表示されます。
    
    INFO: [runtcl 7-1] RQA Score (opt_design): 2 (RQA score tolerance: 2)
    INFO: [runtcl 9-1] Flow continues - RQA score threshold met after 'opt_design' step
  10. MIN_RQA_VALUE1 に変更します。レポートを Vivado Implementation Default Reports に戻し、run をリセットします。