手順 8: create_waiver コマンドの使用 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン解析およびクロージャ テクニック (UG938)

Document ID
UG938
Release Date
2023-11-08
Version
2023.2 日本語
[Report CDC] ダイアログ ボックスから追加した除外は create_waiver コマンドを使用して作成されます。これらのコマンドは次のように確認できます。
注記: CDC、DRC、設計手法の除外には create_waiver コマンドを使用できます。オプションは、CDC、DRC、または設計手法の除外を作成しているかどうかによって、少し異なります。異なるオプションを含め、詳細は 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835)create_waiver コマンドを参照してください。
  1. Vivado IDE により出力された 3 つの create_waiver コマンドを確認するため、Vivado ジャーナル ファイル (vivado.jou) を開きます。
  2. 同じ 3 つの create_waiver コマンドを確認するには、[Tcl Console] の履歴をスクロールします。
    ヒント: -from および -to オプションは、始点および終点を指定するために使用されます。[Report CDC] ダイアログ ボックスで除外が設定されると、正確な違反と一致させるために -from および -to が指定されます。ただし、CDC 除外は -from オプションのみまたは -to オプションのみを使用して使用できますが、予期していたよりも多くのパスが除外される可能性があります。