Block Memory Generator IP のデザイン run の作成および起動 - 2023.2 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2023-11-13
Version
2023.2 日本語
合成デザイン チェックリスト (DCP) を生成するには、IP デザイン run をまず作成する必要があります。
  1. Tcl スクリプトに次を追加します。
    create_ip_run [get_ips blk_mem_gen_v7_3_0]

    <ip_name>_synth_1 という名前で、IP の run が新しく作成されます。IP デザイン run は launch_runs コマンドを使用して起動します。

  2. スクリプトに次を追加します。
    launch_runs [get_runs blk_mem_gen_v7_3_0_synth_1]

run が起動し、合成デザイン チェックポイントがプロジェクトに追加されたら完了です。IP run を起動しない場合は、最上位を合成すると自動的に起動します。

複数の IP run を作成した場合、これらの run は順次起動します。並行して起動するには、launch_runs コマンドを使用する必要があります。

最上位ロジックを合成しているとき、IP のブラック ボックスが推論されます。インプリメンテーション中に DCP が開き、ネットリストが読み込まれ、制約が適用されます。

最上位合成 run を起動すると、IP run が完了するまで自動的に待機するので、各 IP run に wait_on_run コマンドを配置する必要はありません。

注記: 複数の IP で並行して DCP を生成する必要がある場合は、次のいずれかを実行します。
  • すべての run をまず作成し、それらをすべて起動します。
  • IP run を続けて作成および起動します。