手順 3: XDC 制約の追加 - 2023.2 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2023-11-13
Version
2023.2 日本語
このデザインには、2 つの XDC ファイルが必要です。
  • top_physical.xdc
  • top_timing.xdc
  1. これらの XDC ファイルをプロジェクトにインポートするには、スクリプトに次の行を追加します。
    import_files -fileset constrs_1 \ 
    {../Lab_3_4_sources/Constraints/top_timing.xdc \ 
    ../Lab_3_4_sources/Constraints/top_physical.xdc}
    ヒント: バックスラッシュ (\) は、複数行にまたがっている Tcl コマンドを分割するために使用されています。こうしておくと、スクリプトを読みやすく、また編集しやすくできるので便利です。

    デフォルトでは、すべての XDC ファイルが合成とインプリメンテーションの両方で使用されます。ただし、この場合は、2 つの XDC ファイルを次のように割り当てて使用します。

    • top_timing.xdc は、合成とインプリメンテーションの両方で使用されます。
    • top_physical.xdc は、インプリメンテーションでのみ使用されます。
  2. 合成中に top_physical.xdc を使用しないようにするため、次の行をスクリプトに追加します。
    set_property used_in_synthesis false [get_files top_physical.xdc]

    これで、指定の XDC ファイルで used_in_synthesis プロパティがディスエーブルになります。

    インプリメンテーションのプロパティは used_in_implementation ですが、このプロパティはイネーブル (true) のままにしておくことができます。