手順 7: ネットリスト プロジェクトの作成 - 2023.2 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2023-11-13
Version
2023.2 日本語
デザイン インプリメンテーションのプロセスでは、サードパーティの合成ツールからのネットリストを Vivado に読み込み、Manage IP プロジェクトでカスタマイズした 2 つの IP、最上位レベルの制約を使用します。EDIF ネットリストおよび最上位 XDC ファイルは用意されています。
  1. Manage IP プロジェクトが既に開いている場合は、それを閉じます。または、Vivado を起動します。
  2. Vivado の [Getting Started] ページで Create Project を選択し、Next をクリックします。
  3. [Project Name] ダイアログ ボックスでプロジェクト名およびプロジェクトを保存するディレクトリを指定します。
    [Project name]
    wave_gen_netlist
    [Project location]
    <extract_dir>/lab_2/
  4. [Create project subdirectory] をオンにしたまま、Next をクリックします。
  5. [Project type] を [Post-synthesis] に設定し、[Do not specify sources at this time] をオフのままにします。
  6. [Add Netlist Sources] ウィンドウで、次のファイルを参照します。
    • <extract_dir>/lab_2/sources, and select wave_gen.edf
    • <extract_dir>/lab_2/my_ip/char_fifo (または Manage IP プロジェクトに設定したもの) および char_fifo.xci を選択。
    • <extract_dir>/lab_2/my_ip/clk_core および clk_core.xci を選択。

      ソース ファイルはリモート ディレクトリから参照するか、ネットリスト プロジェクトにコピーするかします。

  7. 次の図のように、wave_gen.edf が最上位に設定されていることを確認し、Next をクリックして次に進みます。

  8. [Add Constraints] ウィンドウで <Extract_Dir>/lab_2/sources ディレクトリを参照し、wave_gen_timing.xdc および wave_gen_pins.xdc ファイルを選択します。
    1. これらのファイルにはリモート ディレクトリから参照するか、プロジェクトにコピーするかします。
    2. 2 つのファイルを選択したら、Next をクリックします。
  9. [Default Part] で xc7k70tfbg676-1 を選択し、Next をクリックします。

    [New Project Summary] ウィンドウが次の図のように開きます。

  10. Finish をクリックします。

    新しく作成されたネットリスト プロジェクトが開きます。

  11. 次の図のように [Sources] ウィンドウを展開表示させ、プロジェクトのネットリスト、IP および制約を確認します。

    ネットリスト プロジェクトで、現在含まれている IP のステータスをチェックします。

  12. Report > Report IP Status をクリックして、IP がロックされていることを確認します。これは、ネットリスト デザインで IP を変更する場合、元のプロジェクトまたは Manage IP プロジェクトでその変更を追加する必要があるためです。

    IP が現在のものではなくても、出力ファイルがすべて揃っているので、インプリメンテーションまで作業を進めることができます。

  13. Compile Order ビューを選択し、Implementation をクリックして、デザイン ソース ファイルおよび制約が処理される順序を確認します (次の図を参照)。

  14. [Tcl Console] ウィンドウに「report_compile_order」と入力します。
    次の 3 つのセクションがあります。
    [Source compile order for implementation]
    インプリメンテーション中に使用される EDIF および DCP ソースをリストしています。IP の DCP で使用されるのは、EDIF ネットリストのみです。
    [Source compile order for simulation]
    IP の RTL ソースがインプリメンテーション中に使用されるよう設定されています。 このプロジェクトには最上位のシミュレーション ファイルはないので、この時点ではシミュレーションは実行できません。
    [Constraint evaluation order for implementation]
    IP の XDC 出力ファイルと最上位の制約ファイルが使用されます。IP の DCP ファイルの制約は使用されません。
  15. Flow Navigator > Implementation > Run Implementation をクリックします。

    [Launch Runs] ダイアログ ボックスが開きます。

  16. OK をクリックします。
  17. インプリメンテーションが完了したら、Flow Navigator の Report Timing Summary をクリックし、インプリメント済みデザインを開いて、タイミング レポートを表示します。この機能を確認してみてください。
    重要: フローのこの時点ではデザインのタイミングは満たされていません。

    このフローをスクリプトにすることも可能です。

    プロジェクト フローのスクリプト コマンドを確認するには、.jou ファイルを確認します。非プロジェクト ベース フローのスクリプトの作成方法については、次のセクションで説明します。