まとめ - 2023.2 日本語 - 2023.1 日本語

Vivado Design Suite チュートリアル: 制約の使用 (UG945)

Document ID
UG945
Release Date
2023-10-18
Version
2023.2 日本語

この演習では、Vivado IDE および Tcl コンソールの両方を使用して、物理制約を作成および検証する方法を学びました。IDE で実行したほとんどの操作は、Tcl コンソールで実行される Tcl コマンドに変換されました。Vivado IDE には、物理制約およびタイミング制約を設定するための優れた対話型機能があり、制約は制約ファイルに保存して必要に応じて再利用できます。