制約チュートリアルの使用 - 2023.2 日本語 - 2023.1 日本語

Vivado Design Suite チュートリアル: 制約の使用 (UG945)

Document ID
UG945
Release Date
2023-10-18
Version
2023.2 日本語
重要: このチュートリアルでは、AMD Kintex™ 7 ファミリのデバイスを使用します。デバイス ファミリがインストールされていない場合は、AMD Vivado™ ツールをアップデートする必要があります。デザイン ツールまたはデバイスの追加の詳細は、 『Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス』 (UG973) を参照してください。

このチュートリアルは 2 つの演習から構成されており、それぞれ AMD Vivado™ Design Suite でデザインに制約を設定する方法を学びます。AMD Vivado™ Design Suite でサポートされる制約フォーマットは、ザイリンクス デザイン制約 (XDC) と呼ばれ、業界標準の Synopsys® デザイン制約および AMD 独自の制約が組み合わされています。タイミング クロージャの詳細は、 『UltraFast 設計手法タイミング クロージャクイック リファレンス ガイド』 (UG1292) を参照してください。

ビデオ: また、Vivado Design Suite での制約の定義方法の詳細は、Vivado デザイン制約の概要の QuickTake ビデオをご覧ください。
トレーニング: AMD では、この資料に含まれるコンセプトを説明するさまざまなトレーニング コースおよび QuickTake ビデオを提供しています。次のリンクから関連するコースを参照してください。

XDC は単なる文字列ではなく、Vivado Tcl インタープリターが順次に読み出して解析する Tcl コマンドです。デザイン制約は、デザイン フローの異なる段階で複数の方法で入力できます。XDC は、Vivado プロジェクト モードでは、1 つまたは複数のファイルに保存して制約セットに追加できます。非プロジェクト モードでは、同じファイルを read_xdc コマンドを使用してメモリに直接読み込むことができます。プロジェクト モードおよび非プロジェクト モードの詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン フローの概要』 (UG892) を参照してください。Vivado ツールでデザインを開いた状態で、Vivado IDE で作業している場合は [Tcl Console] ウィンドウで、IDE の環境外で作業している場合は Tcl コマンド プロンプトで、制約をコマンドとして入力できます。これは、デザインでインタラクティブに新しい制約を定義、検証、デバッグする場合に特に有益な方法です。

Vivado Design Suite 合成およびインプリメンテーション ツールはタイミング ドリブンです。デザイン目標を満たし、正しい操作が実行されるようにするには、正しいタイミング制約を正確に設定しておくことが不可欠です。Vivado ツールはタイミング ドリブンなので、デザイン全体に制約を設定することが重要ですが、制約を厳しくしすぎたり、緩くしすぎたりしないようにする必要があります。制約を厳しくしすぎると、ツールが非現実的なデザイン目標を満たそうとするので、コンパイル時間が長くなり、最適な結果が得られない可能性があります。逆に制約を緩くしすぎる、マルチサイクル遅延のあるパスやフォルス パスの確認など、Vivado ツールで不必要な最適化が実行され、本当のクリティカル パスに焦点が置かれなくなる可能性があります。

このチュートリアルでは、デザイン制約の定義および適用方法をいくつか説明します。