アプローチ 1: フル コンフィギュレーションからのパーシャル ビットストリームの生成 - 2023.2 日本語

Vivado Design Suite チュートリアル: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2023-11-29
Version
2023.2 日本語

抽象化シェルを使用する方法では、各 RM はフル スタティック最上位から独立させてインプリメントするので、標準フローのように複数のコンフィギュレーションは作成しませんでした。ただし、可能なコンフィギュレーションは、RP ごとにフル スタティック チェックポイントと 1 つの RM チェックポイントをリンクすることにより作成できます。

  1. 次のスクリプトを実行して、すべてのビット ファイルを作成します。Tcl コンソールで、このスクリプトのある project_dfxc_vcu118 ディレクトリのすぐ上のディレクトリにいることを確認します。

    source create_all_bitstreams_via_configs_vcu118.tcl

    このスクリプトは、まず演習 7 で実行したように、impl_1 run からフルおよびパーシャル ビットストリームを生成します。次に、抽象化シェル内にインプリメントされた count_down および shift_left RM から child_0 コンフィギュレーションをアセンブルし、パーシャル ビットストリームを生成します。

    スクリプトのリンク部分は、次のようになります。

    create_project -in_memory -part $part

    add_files ./project_dfxc_vcu118/project_dfxc_vcu118.runs/impl_1/top_routed_bb.dcp

    add_files ./abstract_shell/abs_shift_left/rm_shift_left_route_design.dcp

    set_property SCOPED_TO_CELLS {inst_shift} [get_files ./abstract_shell/abs_shift_left/rm_shift_left_route_design.dcp]

    add_files ./abstract_shell/abs_count_down/count_down_route_design.dcp

    set_property SCOPED_TO_CELLS {inst_count} [get_files ./abstract_shell/abs_count_down/count_down_route_design.dcp

    link_design -mode default -reconfig_partitions {u_shift u_count} -part $part -top top

    write_checkpoint -force abstract_shell/config_shift_left_count_down_import/top_route_design.dcp

    このコンフィギュレーションは、プロジェクトフローで child_0_impl_1 に対して作成された実習 7 と同じです。この時点で、非プロジェクト アプローチを使用して write_bitstream を従来の方法で実行できるフル コンフィギュレーションが作成されます。これにより、デフォルトでこのデザイン イメージのすべてのフル ビットストリームとパーシャル ビットストリームが生成されます。フル ビットストリームのみを作成する場合は -no_partial_bitfile オプション、パーシャル ビットストリームのを作成する場合は -cell オプションを使用します。この演習では、子インプリメンテーションからのフル デザイン ビットストリームは使用しません。