手順 7: 2 番目のコンフィギュレーションのインプリメント - 2023.2 日本語

Vivado Design Suite チュートリアル: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2023-11-29
Version
2023.2 日本語

スタティック デザインの結果が確立されロックされたので、これをコンテキストとして使用して、ほかの RM をインプリメントできます。

デザインのインプリメンテーション

  1. Tcl コンソールで次のコマンドを実行し、新規インメモリ デザインを作成します。
    create_project -in_memory -part $part
  2. 次のコマンドを実行し、スタティック デザインを読み込みます。
    add_files ./Checkpoint/static_route_design.dcp
  3. 次のコマンドを実行し、シフトおよびカウント ファンクションの 2 番目の合成チェックポイントを 2 つ読み込みます。
    add_file ./Synth/shift_left/shift_synth.dcp
    set_property SCOPED_TO_CELLS {inst_shift} [get_files ./Synth/shift_left/shift_synth.dcp]
    add_file ./Synth/count_down/count_synth.dcp
    set_property SCOPED_TO_CELLS {inst_count} [get_files ./Synth/count_down/count_synth.dcp]
  4. link_design コマンドを使用してデザイン全体をリンクします。
    link_design -mode default -reconfig_partitions {inst_shift inst_count} -part $part -top top

    これで、フル コンフィギュレーションが読み込まれました。ただし今回は、スタティック デザインが配線されロックされており、リコンフィギャラブル ロジックはまだネットリストの状態です。ここからの配置配線はこのロジックにのみ適用されます。

  5. 次のコマンドを実行し、スタティック デザインのコンテキストで新しい RM を配置配線します。
    opt_design
    place_design 
    route_design

    デザインが新しい RM のバリエーションを使用してインプリメントされます。次の図に示すように、点線 (ロックされた配線) と実線 (新しい配線) の配線セグメントがあります。



結果の保存

  1. 次のコマンドを使用して、フル デザイン チェックポイントおよびレポート ファイルを保存します。
    write_checkpoint -force Implement/Config_shift_left_count_down_import/top_route_design.dcp
    report_utilization -file Implement/Config_shift_left_count_down_import/top_utilization.rpt
    report_timing_summary -file Implement/Config_shift_left_count_down_import/top_timing_summary.rpt
    
  2. (オプション) 次の 2 つのコマンドを使用し、各リコンフィギャラブル モジュールのチェックポイントを保存します。
    write_checkpoint -force -cell inst_shift Checkpoint/shift_left_route_design.dcp
    write_checkpoint -force -cell inst_count Checkpoint/count_down_route_design.dcp

これで、スタティック デザインおよびすべての RM のバリエーションのインプリメンテーションが完了しました。RP に RM が 3 つ以上あるデザインの場合は、このプロセスを繰り返します。