検証パス - 2023.2 日本語

Vivado Design Suite チュートリアル: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2023-11-29
Version
2023.2 日本語
標準的な DFX デザイン フローと同様、Nested DFX デザイン イメージも pr_verify を使用してチェックし、すべてのイメージが同期することを確認する必要があります。コア インプリメンテーション ツール (opt_design など) と同様、pr_verify はリコンフィギャラブルとマークされた現在のセルに基づいて実行されます。このことを念頭に置いて、現在のスタティック デザインを使用して、同一条件の比較を実行します。次のスクリプトを読み込んで、互換性のあるすべてのコンフィギュレーションを検証します。
source verify_configurations.tcl
このスクリプトは、3 つの対になった配線済みデザインを比較します。それぞれが、まったく同じになるはずのスタティック ロジックを使用して、チェックポイントの一対比較を実行します。このセクションでは、実行される比較と、次のステップで作成される互換性のあるビットストリームについて説明します。
  1. pr_verify への 1 回目の呼び出しで 2 つの再結合されたチェックポイントが比較されます。これらはそれぞれ、単一のリコンフィギャラブル パーティション (inst_RP) を使用しており、最上位のみが同じスタティック インプリメンテーション結果になるはずです。これらのチェックポイントは、それぞれが適切な二次パーシャル ビットストリームを受け取ることができる場合でも、ネストなしの標準 DFX デザインを表します。

    ほかのチェックポイントが二次モジュール (shift_leftcount_down など) で作成されてから再結合された場合は、pr_verify を使用して比較して、それらの inst_RP ビットストリームをこの互換性リストに追加できます。これは、分割された二次 RP がない場合でも、inst_RP のほかのすべての RM で同様です。

  2. pr_verify への 2 回目の呼び出しでは、shift_right と shift_left の 2 番目のレベルのチェックポイントが比較されます。これらには上位および下位のサブモジュールにロックダウンされたスタティック部分があるので、比較は階層の top レベルと reconfig_shifters レベルのこのスタティック ロジック間で実行されます。
  3. 2 回目と同様、pr_verify の 3 回目の呼び出しでは、count_up チェックポイントと count_down の 2 番目のレベルのチェックポイントが比較されます。これらには、top および reconfig_counters にロックされたスタティック部分があるので、比較は上位および下位のリコンフィギャラブル パーティションまでのこのスタティック ロジック間で実行されます。