SLR をまたぐパスでの自動パイプラインの使用 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

ソフト SLR フロアプラン制約またはハード SLR フロアプラン制約を使用する場合、あるいはフロアプラン制約を使用しない場合のいずれでも、異なる SLR に配置されているデザインの主な部分の間のタイミングを満たすために必要なパイプライン段数は次の条件によって異なります。

  • ターゲット周波数
  • デバイスのフロアプラン
  • デバイスのスピード グレード

自動パイプライン機能を使用して、配置アルゴリズムで必要な段数と最適な場所が判断されるようにすると、SLR の境界をまたぐ部分のタイミング クロージャを達成するのに有益です。この機能を使用すると、ほかに何も設定しなくても、Vivado 配置で自動的に Laguna レジスタが使用されます。

自動パイプラインをイネーブルにするには、RTL でバスおよびハンドシェイク ロジックに AUTOPIPELINING_* 属性を設定しますが、追加されるレイテンシによりデザインの機能に悪影響が出ないことを確認してください。または、AMD AXI Register Slice Memory Mapped または Streaming IP を SLR 間をまたぐパスに設定して使用します。