インクリメンタル指示子およびターゲット WNS - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

インクリメンタル指示子を使用して、インプリメンテーション フローのターゲット WNS を指定できます。ターゲット WNS は、インプリメンテーション ツールでタイミング クロージャを達成するよう試みるか、基準チェックポイントと同程度のタイミング クロージャを達成するかを決定します。インプリメンテーション フローでデフォルト アルゴリズムを使用する場合は、インクリメンタル指示子は無視され、place_design および route_design の指示子が使用されます。

次の表に、インクリメンタル指示子とそのターゲット WNS を示します。

表 1. インクリメンタル指示子とターゲット WNS
インクリメンタル指示子 ターゲット WNS
RuntimeOptimized 基準チェックポイントと同じ
TimingClosure 0.000
Quick フローはタイミング ドリブンではなく、配置は関連ロジック ドリブン
注記: インクリメンタル指示子が以前のリリースの指示子マップに置き換わります。