インテリジェント デザイン run の使用 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

インプリメンテーション中にほとんどのタイミング クロージャの問題を自動的に解決するには、インテリジェント デザイン run を使用します。インテリジェント デザイン run は、report_qor_suggestions、ML ベースのストラテジ予測、およびインクリメンタル コンパイルを活用する特殊なインプリメンテーション run です。インテリジェント デザイン run は、配置配線を最大 6 回繰り返して実行できるので、コンパイル時間が標準実行の通常 3.5 倍程度になりますが、タイミング クロージャを達成するための知識をそれほど必要とせず、ユーザーの解析時間を節約できるので、大きなメリットがあります。

ヒント: 反復時間を短縮するため、インテリジェント デザイン run から QoR 推奨項目と ML ストラテジを抽出し、標準インプリメンテーション run で使用します。デザインを大幅に変更した場合は、インテリジェント デザイン run を再実行して関連ファイルをアップデートします。

インテリジェント デザイン run は、次の段階があります。

  1. report_qor_suggestions を使用して、デザインのエレメントに定義された順序で最適化プロパティを適用します。
  2. 機械学習 (ML) ストラテジを使用し、デザイン用に最適化された opt_designplace_designphys_opt_design、および route_design のツール オプションを生成します。
  3. ラスト マイル タイミング クロージャ機能を使用して、解決が困難なパスに広範囲のエフォートを適用し、最終結果を生成します。

インテリジェント デザイン run を効果的に使用するには、次の必要条件に従います。

  • インプリメンテーションはプロジェクト ベースである必要があります。非プロジェクト モードを使用している場合は、opt_design を実行する前のチェックポイントを使用して、合成後のネットリスト ベースのプロジェクトを作成するのが最も簡単な方法です。
  • UltraScale または AMD UltraScale+™ デバイス ファミリを使用する必要があります。
  • デザインは、正確で達成可能な制約を適用してベースラインを取得しておく必要があります。
  • すべてのデザインは、report_methodology Tcl コマンドでレポートされた推奨される設計手法に従う必要があります。
  • SSI テクノロジ ベースのデバイスでは、SLR ベースのフロアプランが必要な場合があります。
  • 自動インプリメンテーション推奨項目のみを適用します。インテリジェント デザイン run を開始するに、APPLICABLE_FOR = synth_design を使用してテキストベースの推奨事項を適用する必要があります。

詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906)このセクションを参照してください。