インプリメント済みネットリストを変更して既存のデバッグ プローブを置換 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

配置配線済みのデザイン チェックポイントで、ILA コアに接続されているデバッグ ネットを置き換えることができます。これには、通常エンジニアリング チェンジ オーダー (ECO) を使用します。これは、完成に近づいており、既存の ILA プローブ ポートに接続されているネットを交換する必要があるデザインに使用されるアドバンス デザイン フローです。ECO フローを使用して既存の ILA コアのネットを変更する方法の詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904)このセクションを参照してください。