タイミング例外の指定 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

タイミング例外は、特定のパスでのタイミング解析の実行方法を変更するために使用します。デフォルトでは、最悪のクロック状況を網羅するため、タイミング エンジンによりセットアップ解析ですべてのパスにシングル サイクル要件のタイミング制約が適用されますが、パスによってはこれは当てはまりません。次に、その例をいくつか示します。

  • 非同期 CDC パスにクロック間に固定された位相関係がないので、安全にタイミング解析できない。これらのパスは無視するか (クロック グループ、フォルス パス制約)、データパス遅延制約 (set_max_delay -datapath_only) を設定する必要があります。
  • シーケンシャル セルのソース クロック エッジおよびデスティネーション クロック エッジがすべてのクロック サイクルでアクティブになるわけではない。この場合、パス要件を緩和できます (マルチサイクル パス)。
  • ハードウェアでのデザイン マージンを増加するため、パス遅延要件を厳しくする必要がある (最大遅延)。
  • 組み合わせセルを介するパスがスタティックで、タイミングを適用する必要がない (フォルス パス、ケース解析)。
  • マルチプレクサーで駆動される特定のクロックでのみ解析を実行する必要がある (ケース解析)。

どの場合でも、タイミング例外の使用には注意が必要で、実際のタイミング問題を隠すために追加するべきではありません。