ファンアウトの小さいクロック - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

ファンアウトの小さいクロックは、ほとんどの場合、水平方向に隣接する 3 つ以下のクロック領域に配置された 5,000 個未満のクロック ピンに接続されるクロック ネットです。クロック配線、クロック ルート、およびクロック分配は、すべて限られたエリア内に含まれます。

配置ツールでは、ファンアウトの小さいクロックが特定されるはずですが、できないこともあります。これは、デザイン サイズ、デバイス サイズ、または LOC 制約や Pblock などの物理的な XDC 制約が原因で、配置ツールでロードをローカル エリアに配置できないことがあるからです。この問題を修正するには、手動で Pblock を作成して、既存の物理制約を変更する必要があることがあります。

BUFG_GT で駆動されるクロックは、ファンアウトの小さいクロックの例です。これらのクロック ネットは Vivado 配置ツールで自動的に認識され、ロードが GT インターフェイスに隣接するクロック領域に含められます。次の図に、2 つのクロック領域に含まれるファンアウトの小さいクロックを示します。BUFG_GT ドライバーは赤色で示されています。

ヒント: ファンアウトの小さいクロックを 1 つのクロック領域に含めるには、CLOCK_LOW_FANOUT XDC 制約を使用できます。
図 1. 2 つのクロック領域に含まれるファンアウトの小さいクロック