フローを通した消費電力見積もり - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

デザイン フローを合成からインプリメンテーションに進行していく際、消費電力を定期的に調べ、消費電力が要件内であり、ボード電源レギュレータが現在の動作範囲内で動作し、デザインがシステムの電源範囲内であることを確認する必要があります。そうすることにより、消費電力が要件に近づきすぎた場合にすばやく対処できます。

XDC 制約を使用して消費電力マージンをレポートするために消費電力バジェットを指定するには、次のコマンドを使用します。

set_operating_conditions -design_power_budget <value in watts>

この値は、report_power コマンドで使用されます。計算されたオンチップ消費電力と消費電力バジェットの差が消費電力マージンで、計算された消費電力が消費電力バジェットを超えている場合は Vivado IDE で赤で表示されます。これにより、フローを通して消費電力を監視しやすくなります。

ヒント: UltraScale+ デバイスでは、環境設定を含む Xilinx Power Estimator (XPE) スプレッドシート ツール (japan.xilinx.com/power からダウンロード) から XDC ファイルをエクスポートできます。この XDC ファイルには、消費電力バジェット制約として使用可能な XPE 見積もりが含まれます。XPE または XDC を使用して消費電力バジェットを変更できます。消費電力マージンのレポートに XDC 制約を追加します。

消費電力見積もりの精度は、デザインの段階によって異なります。合成後からインプリメンテーションでは、消費電力の見積もりに report_power コマンドを使用するか、Vivado IDE で消費電力レポートを開きます。

Post Synthesis
ネットリストがターゲット デバイスで使用可能な実際のリソースにマップされます。
配置後
ネットリスト コンポーネントが実際のデバイス リソースに配置されます。このパック情報を基に最終的なロジック リソース数およびコンフィギュレーションが判明します。XPE スプレッドシートにこのデータをエクスポートできます。 このコマンドを使用すると、次が可能になります。
  • XPE で What-if 解析を実行します。
  • 同様の特性を持つ今後のデザインでスプレッドシートを入力する際の基準を提供します。
配線後
配線が完了すると、使用される配線リソースに関するすべての詳細およびデザインに含まれる各パスの正確なタイミング情報が定義されます。

シミュレータでは、インプリメントされた回路の機能をベスト ケースおよびワースト ケースのロジックおよび配線遅延で検証することに加え、グリッチを含む内部ノードの的確なアクティビティがレポートされます。このレベルの消費電力解析では、プロトタイプのボードで消費電力を実際に計測する前に、最も正確な消費電力見積もりが得られます。