プロジェクト モードまたは非プロジェクト モードの使用 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

インプリメンテーションは、プロジェクト モードおよび非プロジェクト モード両方で実行できます。プロジェクト モードでは、run の管理、ファイル セットの管理、レポートの生成、クロスプローブなどのプロジェクト構造を使用できます。非プロジェクト モードは統合が簡単で、Tcl スクリプトを使用して実行するので、必要なレポートを明示的に作成する必要があります。これらのモードの詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン フローの概要』 (UG892)このセクションを参照してください。