ベースライン制約の作成とタイミング制約の検証 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

次の手順は、タイミング クロージャ達成に向けての進行状況を確認し、ボトルネックとなる可能性のある問題を特定するのに役立ちます。

  1. 合成済みデザインを開きます。
  2. report_timing_summary -delay_type min_max コマンドを実行し、表示される情報を次の表に記録します。
    表 1. 合成済みデザインのタイミング サマリ レポート
      WNS TNS タイミングが満たされていない終点 (Failing Endpoints) の数 WHS THS タイミングが満たされていない終点 (Failing Endpoints) の数
    合成            
  3. 合成後の report_timing_summary テキスト レポートを開き、check_timingno_clock セクションを記録します。

    デザインで満たされていないクロック要件の数: ___________

  4. report_clock_networks コマンドを実行し、デザインのプライマリ クロックのソース ピン/ポートを特定します。 QPLLOUTCLK および QPLLOUTREFCLK は、パルス幅のみのチェックなので無視します。

    デザインで制約されていないクロックの数: ___________

  5. report_clock_interaction -delay_type min_max コマンドを実行し、WNS パス要件の結果を並べ替えます。

    デザインで最小の WNS パス要件: ___________

  6. report_clock_interaction の結果を [WHS] で並べ替え、合成後に大きなホールド違反 (> 500 ps) があるかどうかを調べます。

    デザインで最大の負の WHS: ___________

  7. report_clock_interaction の結果を [Inter-Clock Constraints] で並べ替え、「unsafe」と示されるクロック ペアをすべてリストします。
  8. 合成済みデザインを開くとき、クリティカル警告はいくつ表示されますか。

    合成済みデザインのクリティカル警告の数: ___________

  9. どのタイプのクリティカル警告がありますか。

    各クリティカル警告タイプの例:

  10. report_high_fanout_nets -timing -load_types -max_nets 25 を実行します。

    フリップフロップで駆動されていないファンアウトの大きいネットの数: ___________

    フリップフロップで駆動されていないファンアウトが最大のネット上にあるロードの数: ___________

    ファンアウトの大きいネットにスラックが負のものがある場合、その WNS: ___________

  11. デザインをインプリメントします。各段階の後に report_timing_summary コマンドを実行し、表示される情報を次の表に記録します。
    表 2. タイミング サマリ レポート
      WNS TNS タイミングが満たされていない終点 (Failing Endpoints) の数 WHS THS タイミングが満たされていない終点 (Failing Endpoints) の数
    最適化            
    配置            
    物理最適化            
    配線            
  12. report_exceptions -ignored コマンドを実行し、デザインで重複している制約がないかを確認します。結果を記録します。