ロジック遅延の削減 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

Vivado インプリメンテーションでは、まず最もクリティカルなパスに焦点が置かれますが、これにより配置後または配線後にそれほど困難でなかったパスがクリティカルになることがあります。AMDでは、合成後または opt_design 後に最長のパスを特定して向上することをお勧めします。これはタイミングおよび消費電力 QoR (結果の品質) に最も大きく影響し、タイミング クロージャを達成するまでの配置配線の実行回数を大幅に削減できます。

配置前のタイミング解析では、理想的な配置および典型的なクロック スキューに対応する見積もり遅延が使用されます。report_timingreport_timing_summary、または report_design_analysis を使用すると、ロジック段数が多すぎるパス、セル遅延が大きいパスをすばやく特定できます。これらのパスでは通常、配置前にはタイミングが満たされないか、かろうじてしか満たされません。タイミング違反の根本的な原因の特定に示されている設計手法を使用して、デザインをインプリメントする前に向上する必要のある長いパスを見つけます。