入力ポート - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

次の図に示すように、入力ポートをプライマリ クロック ルートとして使用できます。

図 1. 入力ポートの create_clock

制約の例:

create_clock -name SysClk -period 10 -waveform {0 5} [get_ports sysclk]

この例では、波形はデューティ サイクルが 50% になるように定義されています。上記の -waveform オプションは使用法を表すために含めているだけで、50% 以外のデューティ サイクルでクロックを定義する場合にのみ必要です。詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835)create_clock Tcl コマンドの説明を参照してください。差動クロック入力バッファーの場合、プライマリ クロックはペアの P 側でのみ定義する必要があります。