複数のクロック ネットでの CLOCK_DELAY_GROUP 制約の使用 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

CLOCK_DELAY_GROUP 制約を使用すると、異なるクロック バッファーで駆動される複数の関連するクロック ネットワークの挿入遅延を同じにできます。この制約は、同じ MMCM、PLL、または GT ソースからのクロック間の同期 CDC タイミング パスのスキューを最小限に抑えるためによく使用されます。CLOCK_DELAY_GROUP 制約は、クロック バッファーに直接接続されたネット セグメントに設定する必要があります。次に、クロック バッファーで直接駆動されるクロック ネット、clk1_net および clk2_net に設定する例を示します。

set_property CLOCK_DELAY_GROUP grp12 [get_nets {clk1_net clk2_net}]