配置および配置の異なる指示子を使用 - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

配置は通常デザインの全体的な最大クロック周波数に最も影響するので、密集を緩和するには、-directive オプションの異なる指示子を試すことが最初に試す手法の 1 つです。Pblock 制約なしで -directive オプションの別の指示子を実行し、配置で必要に応じてロジックを分散する柔軟性が増すようにすることを考慮してください。

ロジックをデバイス全体に分散して密集領域を回避することにより、密集を緩和する -directive オプションの指示子がいくつかあります。ロジックを分散する配置の -directive オプションの指示子は、次のとおりです。

  • AltSpreadLogic_high
  • AltSpreadLogic_medium
  • AltSpreadLogic_low
  • SSI_SpreadLogic_high
  • SSI_SpreadLogic_low

SLR 間をまたぐデザインで密集が検出された場合は、次を考慮します。

  • SSI_BalanceSLLs 指示子を使用すると、SLR 間で SLL のバランスが取られるようにデザインが SLR 間に分割されます。
  • SSI_SpreadSLLs 指示子を使用すると、デザインを SLR 間に分割する際に、接続の多い領域に追加のエリアが割り当てられます。

配置の -directive のほかの指示子またはインプリメンテーション ストラテジも密集の緩和に役立つことがあるので、上記の指示子の後に試してみてください。

配置の -directive オプションの異なるモード指示子における密集度を比較するには、place_design の実行後にデザイン解析レポートの密集レポートを生成するか、配線ログ ファイルでの密集の初期見積もりを確認します。

配置の -directive オプションの方が配線よりも密集への影響は大きくなりますが、配線の -directive オプションの異なる指示子を試すと有益な場合もあります。次の指示子を使用すると、配線でより多くの配線にアクセスするよう労力が費やされ、インターコネクト タイルの密集が緩和されます。

  • AlternateCLBRouting
注記: Short 密集がある場合、または Short と Long の両方の密集がある場合に最も有益です。この指示子は、UltraScale デバイスにのみ使用可能です。

詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904)このセクションを参照してください。