高再利用モードではインクリメンタル インプリメンテーションの指示子を選択する - 2023.2 日本語

FPGA および SoC 用 UltraFast 設計手法ガイド (UG949)

Document ID
UG949
Release Date
2023-11-29
Version
2023.2 日本語

インクリメンタル インプリメンテーション フローの動作は、-directive オプションの指示子を使用して制御できます。インプリメンテーション run にインクリメンタル インプリメンテーション アルゴリズムを使用すると、ツールはこれらの指示子に従います。フローをデフォルトのアルゴリズムに戻すと、ツールは place_designphys_opt_design、および route_design コマンドで指定された指示子に従います。

インクリメンタル インプリメンテーション フローで使用可能な指示子は、次のとおりです。
RuntimeOptimized
基準チェックポイントの WNS をターゲットとします。これにより、基準チェックポイントと一貫したものになり、配置配線の実行速度が最低でも 2 倍になります。基準チェックポイントでタイミング クロージャが達成されていない場合は、タイミング クロージャの達成は試みられません。これがデフォルトの指示子です。
TimingClosure
WNS = 0.000 ns をターゲットとします。基準 run がタイミングをほぼ満たしており、結果と実行時間の一貫性よりも、労力を費やしてもタイミングを満たすことが優先される場合に使用します。このモードを使用すると、困難なデザインで WNS を最大 250 ps 向上できます。この指示子を QoR 推奨項目と共に使用すると、タイミング クロージャを達成できる可能性が最大になります。この指示子を使用すると、実行時間は長くなります。
Quick
99% 以上再利用され、タイミングを簡単に満たすことのできるデザインで使用するためのオプションです。このオプションは通常、タイミングに影響しない小さな変更を含む ASIC エミュレーションおよびプロトタイプ デザインで使用します。

次に、プロジェクト モードのコマンドの例を示します。

set_property -name INCREMENTAL_CHECKPOINT.MORE_OPTIONS -value {-directive TimingClosure} -object [get_runs <runName>]

次に、非プロジェクト モードのコマンドの例を示します。

read_checkpoint -incremental -directive TimingClosure <reference>.dcp
注記: RuntimeOptimized 指示子は、以前の Vivado Design Suite リリースの Default マップ指示子に置き換わり、TimingClosure 指示子は Explore マップ指示子に置き換わります。