手順 4: リファレンス デザインのコンパイル - 2023.2 日本語

Vivado Design Suite チュートリアル: インプリメンテーション (UG986)

Document ID
UG986
Release Date
2023-10-18
Version
2023.2 日本語
  1. Flow Navigator で Run Implementation をクリックします。
  2. 表示される [Missing Synthesis Results] ダイアログ ボックスで OK をクリックし、まず合成を実行します。

    合成が実行されて完了すると、インプリメンテーションが自動的に開始します。

    注記: このダイアログ ボックスは、合成を最初に実行せずにインプリメンテーションを実行しようとすると表示されます。

  3. インプリメンテーションが完了すると、[Implementation Completed] ダイアログ ボックスが開きます。Cancel をクリックして、ダイアログ ボックスを閉じます。

プロジェクトベースのデザインでは、Vivado Design Suite により、中間インプリメンテーション結果がデザイン チェックポイントとしてインプリメンテーション run ディレクトリに保存されます。最終的なチェックポイントをインクリメンタル コンパイル フローの基準として使用します。