RTL モジュールの参照 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2023-10-18
Version
2023.2 日本語

AMD Vivado™ IP インテグレーターのモジュール参照機能を使用すると、Verilog または VHDL ソース ファイルで定義されたモジュールまたはエンティティをブロック デザインに簡単に追加できます。この機能には制限がありますが、RTL を IP としてパッケージして Vivado IP カタログから追加するプロセスを使用せずに、RTL モジュールをすばやく追加できます。

どちらの方法にも、利点と欠点があります。

  • IP パッケージ フローは厳密で時間がかかりますが、IP カタログで管理可能な明確に定義された IP が提供され、複数のデザインで使用したり、新しいリビジョンが使用可能になったときにアップグレードすることが可能です。
  • モジュール参照フローはすばやく実行できますが、IP カタログを使用する場合のような利点はありません。

次のセクションでは、モジュール参照フローの使用について説明します。この章のさまざまなセクションで、2 つのフローの違いについても言及します。