[Signals] ウィンドウを使用した接続 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2023-10-18
Version
2023.2 日本語

BD を開いたら、次の図に示すような [Signals] ウィンドウが開き、[Clocks] および [Resets] ビューにデザインに存在するクロック信号およびリセット信号がそれぞれ表示されます。

該当するビューをクリックしてデザインのクロック信号またはリセット信号を表示すると、信号の接続に便利です。

クロックは、クロック ドメイン名に基づいて [Clocks] ビューにリストされます。次の図では、クロック ドメイン design_1_clk_wiz_1_0_clk_out1 に周波数 100 MHz のクロック出力 clk_out1 があり、異なる IP の複数のクロック入力を駆動しています。

[Unconnected Clocks] フォルダーからクロックを選択すると、IP インテグレーターの BD で該当するクロック ポートがハイライトされます。選択したクロックを右クリックすると、複数のコマンドが表示されます。

図 1. [Signals] ウィンドウ

上記の MicroBlaze デザイン場合、設計アシスタンス ([Run Connection Automation] コマンド) が、Clocking Wizard の CLK_IN1_D 入力インターフェイスをボードのクロック ピンに接続するために使用できます。

Make Connection コマンドをクリックし、デザインの既存クロック ソースへ入力を接続することもできます。最後に、Make External コマンドをクリックして外部ポートにピンを接続できます。

コンテキストを図に切り替えたり、デザイン検証を実行するオプションもあります。

図 2. [Signals] ウィンドウを使用した接続

[Make Connection] をクリックすると、有効な接続を示すダイアログ ボックスが開きます。

図 3. [Make Connection] ダイアログ ボックス

適切なクロック ソースを選択すると、そのクロック ソースと該当するポートまたはピン間が接続されます。

BD のセルに未接続のクロック ピンがある場合、それらのピンは [Signals] ウィンドウの [Unconnected Clocks] フォルダーにリストされます。ここで未接続のクロック ピンを選択して、クロック ドメインにドラッグ アンド ドロップできます。

図 4. 既存クロックへの未接続ピンのドラッグ アンド ドロップ

[Resets] ビューを使用しても、同様に接続できます。[Signals] ウィンドウの [Clocks] および [Resets] ビューを使用すると、視覚的にデザイン内のクロックおよびリセットを管理および接続できます。