インターフェイス推論のための HDL パラメーター - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2023-10-18
Version
2023.2 日本語

IP パッケージャーおよびモジュール参照フローでは、X_[...] 形式の属性の多くがサポートされており、これらの属性では標準インターフェイス推論ヒューリスティックを置換および変更する特定のビヘイビアーを指定できます。グローバル ルールとして、このパラメーターは常に、どのプロジェクトまたはアプリケーション全体のビヘイビアーより優先されることになっています。また、ほとんどの属性がポートに適用されます (VHDL および Verilog には、この情報を適用できるインターフェイスの概念がないので)。属性がインターフェイス全体の情報に関連する場合 (たとえば X_INTERFACE_MODE など) は、属性がインターフェイス全体に適用され、その構成ポートをインターフェイス全体の代表として選択できます。