プロジェクトの作成 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計 (UG994)

Document ID
UG994
Release Date
2023-10-18
Version
2023.2 日本語

デザイン全体を IP インテグレーターを使用して作成することもできますが、通常のデザインには HDL、IP、および IP インテグレーター ブロック デザイン (BD) が含まれます。このセクションでは、新しい IP インテグレーター ベース デザインの作成方法の概要を示します。

次の図に示すように、AMD Vivado™ IDE の GUI で Create Project をクリックして、新規プロジェクトを作成します。

図 1. プロジェクトの作成

Vivado Design Suite では、さまざまなデザイン プロジェクト タイプがサポートされます。詳細は、 『Vivado Design Suite ユーザー ガイド: システム レベル デザイン入力』 (UG895) を参照してください。

プロジェクトにブロック デザイン (BD) を追加または作成するには、RTL プロジェクトを作成するか、Example Project をクリックします。New Project ウィザードで、HDL デザイン ファイル、ユーザー制約、およびその他の種類のデザイン ソース ファイルをプロジェクトに追加できます。

図 2. New Project ウィザード

デザイン ソース、既存の IP、デザイン制約を追加したら、次の図に示すように、プロジェクトのターゲットとするデフォルトの AMD デバイスまたはプラットフォーム ボードを選択できます。詳細は、IP インテグレーターでのプラットフォーム ボード フローの使用 を参照してください。

重要: AMD Vivado™ ツールでは、複数バージョンの AMD ターゲット ボードがサポートされるので、ターゲット ボードは注意して選択してください。
注記: 青色のコマンド リンクをクリックすると、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) のその Tcl コマンドを説明するページが開きます。

Tcl コマンドでプロジェクトを作成するには、create_projectset_property コマンドを組み合わせて使用します (詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照)。

create_project <project_name> <project_path> -part <part>
set_property BOARD_PART <board_part> [current_project]
set_property TARGET_LANGUAGE <vhdl/verilog> [current_project]
注記: このガイドの Tcl コマンド例では、< > はユーザー デザイン特定の変数を示します。コマンド文字列に < > は含めないでください。

特定の Tcl コマンドに関する詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照してください。

図 3. New Project ウィザード: Default Part ページ